"sim stop" should be able to stop multiple simulations

Issue #220 closed
Erik Schnetter created an issue

If multiple simulations are given as argument to "sim stop", all should be stopped

Keyword:

Comments (2)

  1. anonymous
    • changed status to resolved
    • removed comment

    this has been done. multiple simulations can be specified one following another, eg, bin/sim stop <sim1> <sim2> <sim3>

  2. Log in to comment